Aportaciones congreso (23) Publicaciones en las que ha participado algún/a investigador/a

2012

  1. A holistic analysis of circuit timing variations in 3D-ICs with thermal and TSV-induced stress considerations

    IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD

  2. A holistic analysis of circuit timing variations in 3D-ICs with thermal and TSV-induced stress considerations

    2012 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD)

  3. An assessment of the impact of social networks on collaborative learning at college level

    CYPRUS INTERNATIONAL CONFERENCE ON EDUCATIONAL RESEARCH (CY-ICER-2012)

  4. BTI-Aware Design Using Variable Latency Units

    2012 17TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC)

  5. BTI-aware design using variable latency units

    Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC

  6. Circuit Reliability: From Physics to Architectures

    2012 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD)

  7. Circuit reliability: From physics to architectures: Embedded tutorial paper

    IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD

  8. Energy-efficient non-minimal path on-chip interconnection network for heterogeneous systems

    Proceedings of the International Symposium on Low Power Electronics and Design

  9. Fiscal institutions and fiscal crises

    XIX Encuentro de Economía Pública: Políticas Públicas para la salida de la crisis

  10. GLARE: Global and Local Wiring Aware Routability Evaluation

    2012 49TH ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC)

  11. GLARE: Global and local wiring aware routability evaluation

    Proceedings - Design Automation Conference

  12. GNOMO: Greater-than-NOMinal <i>V<sub>dd</sub></i> Operation for BTI Mitigation

    2012 17TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC)

  13. GNOMO: Greater-than-NOMinal V dd operation for BTI mitigation

    Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC

  14. Incremental Power Network Analysis Using Backward Random Walks

    2012 17TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC)

  15. Incremental power network analysis using backward random walks

    Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC

  16. Optimization of On-Chip Switched-Capacitor DC-DC Converters for High-Performance Applications

    2012 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD)

  17. Optimization of on-chip switched-capacitor DC-DC converters for high-performance applications

    IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD

  18. Residential task scheduling under dynamic pricing using the multiple knapsack method

    2012 IEEE PES Innovative Smart Grid Technologies, ISGT 2012

  19. Staggered core activation: A circuit/architectural approach for mitigating resonant supply noise issues in multi-core multi-power domain processors

    Proceedings of the Custom Integrated Circuits Conference

  20. The Impact of Hot Carriers on Timing in Large Circuits

    2012 17TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC)