A holistic analysis of circuit timing variations in 3D-ICs with thermal and TSV-induced stress considerations

  1. Marella, S.K.
  2. Kumar, S.V.
  3. Sapatnekar, S.S.
Actas:
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD

ISSN: 1092-3152

Año de publicación: 2012

Páginas: 317-324

Tipo: Aportación congreso

DOI: 10.1145/2429384.2429450 GOOGLE SCHOLAR