Addressing thermal and power delivery bottlenecks in 3D circuits

  1. Sapatnekar, S.S.
Actes:
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC

ISBN: 9781424427482

Any de publicació: 2009

Pàgines: 423-428

Tipus: Aportació congrés

DOI: 10.1109/ASPDAC.2009.4796518 GOOGLE SCHOLAR