A holistic analysis of circuit timing variations in 3D-ICs with thermal and TSV-induced stress considerations

  1. Marella, Sravan K.
  2. Kumar, Sanjay V.
  3. Sapatnekar, Sachin S.
Collection de livres:
2012 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD)

ISSN: 1933-7760

ISBN: 978-1-4503-1573-9

Année de publication: 2012

Pages: 317-324

Congreso: IEEE/ACM International Conference on Computer-Aided Design (ICCAD)

Type: Communication dans un congrès