Circuit Performance Shifts Due to Layout-Dependent Stress in Planar and 3D-ICs

  1. Marella, S.K.
  2. Sapatnekar, S.S.
Revue:
IEEE Transactions on Very Large Scale Integration (VLSI) Systems

ISSN: 1063-8210

Année de publication: 2018

Volumen: 26

Número: 12

Pages: 2907-2920

Type: Article

DOI: 10.1109/TVLSI.2018.2866290 GOOGLE SCHOLAR lock_openAccès ouvert editor