A Holistic Analysis of Circuit Performance Variations in 3-D ICs with Thermal and TSV-Induced Stress Considerations

  1. Marella, S.K.
  2. Sapatnekar, S.S.
Zeitschrift:
IEEE Transactions on Very Large Scale Integration (VLSI) Systems

ISSN: 1063-8210

Datum der Publikation: 2015

Ausgabe: 23

Nummer: 7

Seiten: 1308-1321

Art: Artikel

DOI: 10.1109/TVLSI.2014.2335154 GOOGLE SCHOLAR