A Holistic Analysis of Circuit Performance Variations in 3-D ICs with Thermal and TSV-Induced Stress Considerations

  1. Marella, S.K.
  2. Sapatnekar, S.S.
Aldizkaria:
IEEE Transactions on Very Large Scale Integration (VLSI) Systems

ISSN: 1063-8210

Argitalpen urtea: 2015

Alea: 23

Zenbakia: 7

Orrialdeak: 1308-1321

Mota: Artikulua

DOI: 10.1109/TVLSI.2014.2335154 GOOGLE SCHOLAR