A Holistic Analysis of Circuit Performance Variations in 3-D ICs with Thermal and TSV-Induced Stress Considerations

  1. Marella, S.K.
  2. Sapatnekar, S.S.
Revue:
IEEE Transactions on Very Large Scale Integration (VLSI) Systems

ISSN: 1063-8210

Année de publication: 2015

Volumen: 23

Número: 7

Pages: 1308-1321

Type: Article

DOI: 10.1109/TVLSI.2014.2335154 GOOGLE SCHOLAR